TSMC

 


TSMC
[image]
<color=#fff> 정식명칭
<colbgcolor=white,#2d2f34>台灣積體電路製造股份有限公司; 台積電
대만적체전로제조고분유한공사
<color=#fff> 영문명칭
Taiwan Semiconductor
Manufacturing Company
<color=#fff> 설립일
1987년
<color=#fff> 본사위치
[image] 대만 신주현 신주과학단지
<color=#fff> 업종
반도체 위탁생산
<color=#fff> 상장여부
상장기업
<color=#fff> 기업규모
대기업
<color=#fff> 시가총액
18.18조 대만 달러[1]
<color=#fff> 종목코드
NYSE: TSM
TWSE: 2330
<color=#fff> CEO
모리스 창
<color=#fff> 홈페이지
홈페이지
1. 개요
2. 특징
2.1. 고객과 경쟁하지 않는다
3. 로고 중 검은색만 양품이다?
4. 공정 추이
4.1. 28 nm
4.2. 16 nm
4.3. 7 nm
4.4. 5 nm
4.5. 2 nm
5. TSMC GIGAFAB™
6. TSMC와 타 파운드리 사의 기술력 비교
6.1. 20nm
6.2. 14/16nm
6.3. 8/10nm
6.4. 7nm
6.5. 4/5nm
6.6. 3nm
6.7. 2nm
6.8. 결론
7. 여담
7.1. 시가총액 추이
8. 참고 문서

[clearfix]

1. 개요


TSMC, 중국약자로 台積電(대적전)은 중화민국(대만) 소재의 세계 최대 파운드리 업체이다.

2. 특징


타 기업으로부터 설계도를 받아 반도체를 위탁 생산하는 기업이다.[2] 1987년 설립되었으며 설립 당시에는 대만 행정원 소속의 산업기술연구회에서 전액 출자한 국가출자기업이었으나 1992년 민영화되는 과정에서 정부 지분은 주식시장에 전량 공개 매각되었다고는 하나 TSMC 지분의 6.68%는 대만 행정원 국가발전기금이 보유하고 있다.기사 링크
자체 브랜드로 생산해서 판매하는 건 없거나, 있어도 잘 알려지지 않았다.[3] 사람들에게 알려진 것은 혜성처럼 등장했다가 혜성처럼 사라진(...) ATI HD4770 칩셋이, TSMC의 생산 효율 문제로 보급되지 못한 사건이 일어났을 때이다.[4] 이때부터 파코즈 등의 커뮤니티들에서는 '이게 다 TSMC 때문이다'라는 말이 유행하기도 했다.
21세기를 기준으로 팹리스 혹은 IDM 업체의 절대다수는 TSMC에 일부 혹은 전부 하청을 맡길 수밖에 없는 구조이다. Apple, 퀄컴, 삼성전자[5] , VIA, NVIDIA, AMD 등과 같은 큼직한 기업들이 주요 고객이다. 우리건 남이건 할 것 없이 품질은 업계 1위이기 때문에 돈을 떼로 번다. 인텔도 주문 물량이 밀려서 자사가 생산량을 감당할 수 없을 경우, 유일하게 파운드리를 주는 데가 바로 TSMC다. 소위말하는, 갑들이 줄을 서야 하는 슈퍼을 이라고 할 수 있다. 특히 Apple의 경우 매해 주문하는 AP[6]의 물량이 어마무시하기한 탓에 언제나 TSMC의 최신 공정을 독차지하는 상황이 반복되고 있는데 이러한 상황에 불만을 가진 몇몇 기업들이 삼성전자의 Fab으로 몰려가기도 했다. 예를 들어 퀄컴스냅드래곤 835의 초기 물량을 삼성전자에 전량 위탁했는데 이것은 전례가 없던 일이다.[7]
파운드리 시장 1위로 시장점유율은 50% 가량이며, 생산규모는 2018년에 300mm 웨이퍼 환산 연간 1,200만 장 규모이다. 월간 200mm(8인치) 환산으로는 220만 장 규모.
2020년 1분기에 5G 시장이 커지는 것에 힘입어 2배 수익을 올렸다. #

2.1. 고객과 경쟁하지 않는다


'초격차' 삼성도 힘겨운 TSMC, 파운드리 세계 1위의 힘
TSMC의 모토. 절대로 자체개발을 하지 않고, 외주팹으로서만 회사를 운영하겠다는 뜻이다. 이 고객과 경쟁하지 않는다라는 포지셔닝 때문에 TSMC는 많은 면에서 경쟁사들보다 우위를 점하게 됐다. 자체개발을 하고 있는 회사들이 운영하는 팹에 외주를 맡기기에는 기술유출 등이 걱정되지 않을 수 없다. 실제로도 제조업의 역사를 들여다보면, 앞선 기업을 따라잡는 위협적인 후발주자는 맨땅에서 솟아나는게 아니라 앞선 기업들의 외주를 하며 역량을 키우는 경우가 대부분이다. 이는 자체개발을 겸업하는 종합 반도체 회사인 삼성전자나, 반도체 굴기 등으로 자체개발 기술력 확보에 눈에 불을 켜고 있는 중국의 팹들이 TSMC에 비해 불리한 요소다. 고객과 경쟁하지 않는다고 못을 박아버린 TSMC에는 설계도든 뭐든 넘겨도 기술유출에 대한 걱정이 상대적으로 덜하기에 많은 기업들이 TSMC에 발주하는 것을 선호하게 된다.
천문학적인 금액을 투자하며 TSMC를 추격하는 외주팹들은 결국 뒤로는 자체개발을 할 마음이 있거나, 없다고 해도 신뢰하기 힘들어서 언제 경쟁사가 되어 돌아올지 모르지만, TSMC는 절대 경쟁사가 되어 돌아오지 않을 거라는 신뢰를 몇 십 년에 걸쳐 확고하게 다져놓았기 때문에, 웬만해서는 TSMC에 발주하기를 선호한다.
TSMC가 아무리 기술력은 뛰어나도 외주사에 불과하다는 평가도 많으나, 이는 TSMC가 못하는 것이든 안하는 것이든 자체개발을 하지 않는 것 자체가 경영전략이기 때문에 이에 대해 왈가왈부하는 것은 의미가 없다.

3. 로고 중 검은색만 양품이다?


여기서 유의해야할 것은 흔히 한국 내에서 '양품'이라 하는 것 중 상당수가 사실은 '오버클럭이 잘 되는 제품'을 의미하는 것이지 제품의 실질적인 품질을 의미하는 것이 아니다. 반도체 업계에서 해외 영업을 담당하게되는 사람이 굉장히 주의해야 할 것이 '수율', '양품' 등과 같은 한국 내에서만 통용되는 개념이다. 해외에서는 이러한 개념을 제품 자체의 품질이나 불량 여부를 의미하는 것으로만 사용할 뿐 오버클럭과 관련된 것은 전혀 개입시키지 않는다. 만일 TSMC에서 생산한 특정 주차의 제품이 오버클럭이 안 된다고 TSMC의 수율이 낮다는 식으로 해외 미팅 관계자 앞에서 발언한다면 굉장한 낭패를 보게 될 것이다. (정확한 의미의 '수율'이란 웨이퍼당 양품 생산의 비율이지 오버클럭 '헤드룸-여유공간,여력'과는 엄연히 다른 말이다. 그러니 수율에 대한 정확한 의미를 알고 이야기하도록 하자.)
그러나 TSMC는 사정이 확실히 다른 게 다른 칩 제조사들이 오버클럭이 잘 안되는 게 원래 안될 수도 있는 거 가지고 컴덕들이 찡찡댈 동안 TSMC는 NVIDIA, 애플 같은 세계적인 팹리스 기업들이 아예 작동이 되냐 안 되냐를 이야기하는 불량률 가지고 단체로 찡찡대는 곳이다.(...) 양품이기 이전에 엔비디아의 케플러 시절에는 검은색이 '쓸 수 있는' 칩 수준이라는 낭설도 있었다.
이런 상황이 왜 발생하냐면 이런 반도체 회사들은 칩을 낱개의 칩단위로 구매하는 것이 아니라 웨이퍼 단위로 구매하기 때문이다. 한마디로 감자를 한 줄기 단위로 한 박스씩 담아서 그 단위로밖에 구매할 수 없게 만들어놓고서는 그 안에 싹이 난 불량감자의 비율이 높은 상황. 이니 울상일 수밖에. 물론 이 감자들을 사가는 고객은 감자의 종자를 가지고 있지만 자체적으로 재배 토지를 운영하거나 종자 팔이만 해서는 타산이 맞지 않기 때문에 종자를 받아 대신 키워줘도 서로 수익이 남을 만한 질 좋고 값싼 밭을 운영하는 생산자를 찾으려 한다. 하지만 이 '감자' 를 키워줄 수 있는 그런 생산자는 현 상황에서는 TSMC 혼자기 때문에 울며 겨자먹기라도 TSMC에 손을 댈 수밖에 없다는 것이다. 여기서 '밭'. 즉, 을 가지고 있는 기업들, 예를 들자면 인텔이나 삼성전자, GlobalFoundries가 있지만 인텔은 외주생산을 하지 않으며, 삼성전자는 파운드리 시장에서는 신규주자에 가까운 데다가 빅칩을 찍어낸 경험이 적으며[8], 글로벌파운드리즈 또한 미세공정 기술을 삼성에서 받아 쓰는 데다가 아직 미세공정에서는 지금은 각개더라도 AMD를 제외한 다른 기업들에게 오픈하고 있지 않기 때문에 결국 TSMC의 주고객인 비메모리 반도체 설계업체 중 자체적으로 팹을 돌릴 수 있는 기업들은 매우 소수가 되는 것이다.
그 전에 반도체 산업에서 양품의 기준은, '해당 속도로 작동할 수 있는가?' 이다. 즉 CPU를 예로 들면 2.6GHz로 돌아가는 걸 양품으로 볼 경우 그 웨이퍼에서는 2.8GHz로 돌아가는 것도 같은 양품이다. 이러한 생산공정상의 이유로 발생하는 미세한 성능차를 커버하기 위해 성능별로 세그먼테이션을 해서 가격차를 두거나, 아니면 아예 양품 최소기준에 맞춰서 더 빠른 칩도 그 기준만큼만 작동하도록 성능제한을 거는 것이고, 이렇게 성능이 제한된 칩의 제한을 풀어주는 것이 바로 오버클럭이다. 그렇기 때문에 최소 성능기준을 충족하지만 딱 그 성능만큼을 충족하는 칩들에 대해서 오버클럭이 되느냐 안되느냐로 불량을 말하는 것부터 틀렸다. 그 칩들은 애초에 이미 양품으로 출고되었고, 그 성능조차도 내지 못하거나 아예 작동하지 못하는 불량품은 진작에 폐기해버렸기 때문이다.
그런데 한참 TSMC가 NVIDIA 쪽 생산에 문제 시절에는 정말로 원래설계당시 전압에서 설계속도로 돌아가는 양품이 하도 적게나와서 전압을 살짝올려서 수율을 맞추었다는 이야기가 나오는 판이니...

4. 공정 추이



4.1. 28 nm


AMD와 NVIDIA의 28nm 칩셋들이 또 다시 TSMC의 공정 문제로 출시가 늦어진 바 있다. 원래는 2011년 하반기 출시 예정이었으나 늘상 그랬듯이 지연되었고, 결국 실질적인 첫 28nm 제품은 2012년이 되어서야 시중에 풀리기 시작.[9] 그러나 생산을 시작하고도 수율이 매우 좋지 않아 물량 수급이 원활하지 못했고, AMD와 엔비디아 양 사가 제시했던 공시가인 549$ 499$은 그야말로 숫자에 지나지 않을 만큼 무의미해졌다.
저 공정 문제에 대해 조금 더 보충설명을 하자면, TSMC는 안정적인 제품을 뽑아낼 수 있는 30nm Half-Bridge 공정을 뛰어넘고 바로 28nm 공정으로 들어갔다. 과거 기록에 따르면, TSMC 가 65nm 에서 바로 40nm 으로 점프할 때에도 동일한 증세(불량률이 일시적으로 증가하는 현상)가 나타났었으며,[10] 이 증세가 또 다시 번진 것이다.
그리고 그 이후에 20nm 공정도입도 늦어지더니 그냥 건너 뛰고 각각14/16나노 공정으로 넘어간다고 선언했을 정도.[11]다만 모바일에 한해서 20나노 공정은 다행히 안착이 가능했다.
그런데 이러한 증세가 TSMC에서만 일어나는 특별한 것으로 착각하는 사람들이 더러 있다. 하지만 원래 반도체 공정 돌입 초기에서는 그러한 증세가 늘 일어나는 일이며, 완전한 공정이 꾸려지지 않았다는 것을 뻔히 알면서도 경쟁적으로 주문 넣은 NVIDIA와 AMD가 너무 조급했다고 볼 수도 있는 것이다.[12][13]
다만, 반도체 생산 설비를 직접 갖추지 않고 주문만 넣는 팹리스(Fabless) 입장에서는 TSMC의 이러한 행보에 분통이 터질 수밖에 없다. 만일 TSMC의 지위가 지금과 달리 다른 업체와 경쟁적인 상태에 놓여 있었다면 NVIDIA건 AMD건 무리한 주문을 하려고 할 때 완곡히 거절했을 것이다[14]. 하지만 TSMC는 사실상 과점적 파운드리 업체이기 때문에 그냥 무작정 OK를 때렸을 가능성이 높다.
참고로, TSMC의 생산효율 문제로 여러 제품의 공급이 차질을 빚을 때 국내 하드웨어 커뮤니티들에서 등장하는 단골 떡밥으로 삼성에게 파운드리를 맡기자하는 것이 있다. 그런데 이러한 것은 반도체 생산에 대한 지식이 일천하다는 것을 스스로 인정하는 것에 불과하다. 삼성과 TSMC가 가진 기술의 범주가 다르기 때문에 두 회사의 기술력 수준을 비교하는 것이 올바르지 않으며[15][16], 파운드리라는 것은 기술 확보보다는 경험 축적에 의한 기술 안정화가 필수적이다. 파운드리 서비스를 TSMC처럼 극대규모로, 그리고 장기간 해 본 경험이 없는 삼성전자로서는 아무리 반도체 연구ㆍ개발 기술이 뛰어나다 하더라도 오늘날 TSMC가 담당하고 있는 파운드리 서비싱은 감당하기 어렵다. 삼성전자의 엑시노스 제품들은 보통 면적이 100mm^2 남짓이지만 그래픽카드의 최고급 빅칩들은 600mm^2까지 차지한다. 100mm^2의 칩셋보다 600mm^2의 칩셋을 오류 없이 생산하기가 더 어려운건 명백하다. 엑시노스 생산하기도 바쁜 와중에 생산하기 더욱 어려운 그래픽카드는 어불성설이다. 이와 같은 이유 때문에 삼성전자는 여지껏 그래픽카드의 생산을 맡지 않았다. 하지만 삼성전자로부터 공정을 이식받은 GF에서 AMD 신제품의 생산을 맡아 17년 3월에 성공적으로 출시하는 등 갈수록 공정 안정화와 기술 축적이 이루어지고 있고, GPU 파운드리로 삼성전자의 공정을 테스트해보고 있다는 기사가 나오고 있다. 따라서 앞으로의 전망은 불투명한 상황.
일각에서는 TSMC가 공격적으로 라인을 증설하고 기술 개발에 나서야 한다고 이야기하지만 일단 파운드리 서비스가 생각보다 그렇게 남는 장사가 아니기 때문에 공격적으로 투자했다가 망하면 으앙 죽음 꼴 나기 쉽상이다. 그래서 TSMC에서는 팹리스 기업들에 피해를 떠넘기면서까지 보수적인 라인 증설[17]과 기술 개발을 하고 있는 것이다.
TSMC가 미적거리는 또 다른 이유로는 TSMC의 파운드리 시장 내에서의 입지를 꼽을 수 있다. TSMC가 싫다고 다른 회사를 찾아가봤자 돌고돌아서 올 수 있는 곳은 TSMC밖에 없다. 사실상 TSMC는 파운드리 시장 내에서 과점 기업이며 좀 오버하자면 독점 기업이라고 해도 과언이 아니다. 이러한 상황에서는 ‘잘 못해줘도 어차피 우리 고객’이 성립하기 때문에 고객의 주문보다는 자신들의 이득을 더 생각할 수밖에 없는 것이다.
어찌되었건 현재의 TSMC 28nm공정은 상당히 안정된 것으로 보이며, 최근에는 2016년 1/4까지의 주문이 완료된 것으로 보인다. TSMC에서 생산하는 반도체에는 컴퓨터와 스마트 폰에 쓰이는 것에서부터 시작해서 가정용 전자제품에 들어가는 MPU, 자동차에 들어가는 EPU 등 미처 생각지도 못한 온갖 것들이 포함된다.
밑에 언급된 Apple의 칩셋 발주 문제도 TSMC 회장이 퀄컴과의 관계를 이유로 들었지만, 실제로는 TSMC 공장이 이미 퀄컴 칩셋을 뽑는 것 자체도 힘에 부친 상황일 가능성이 크다. 그렇기 때문에 Apple의 TSMC 미발주 사태는 Apple이 TSMC에 맡긴다기보다는[18] 맡긴 것이라고 보는 편이 맞을 것이다. iPhone 6 제품군에 장착되는 A8은 TSMC가 수주하였다.

4.2. 16 nm


세계 파운드리 시장의 기업 순위 목록이다. 1위는 역시 부동의 TSMC. 여러 가지 말은 많지만 아직까지는 넘사벽으로 매출 기준 40%대 후반의 점유율을 보여주고 있다. 그러나 삼성전자 및 경쟁기업들의 점유율 상승이 잠재적 위험이며 글로벌 파운드리 + 삼성전자 연합이 14nm FINFET 양산에 먼저 들어가는데2014년 4분기 양산시작 성공하였고삼성 양산 시작, 아이폰 6s 도 다시 삼성으로 돌아갈 줄 알았는데, 애플은 삼성 14nm, TSMC 16nm에 동시에 같은 AP 생산을 맡기는 이례적인 짓을 저질렀다. 같은 AP를 만들며 성능을 누가 더 잘 뽑아내냐는, 비교당하기 딱 좋은 배틀 아레나가 펼쳐진 것이다. 이에 대한 예상은 삼성 AP가 성능상 우세할 것이라는 반응이었으나, 결과는 TSMC AP와 삼성 AP가 유의미한 성능 차이가 없다. 이는 TSMC가 삼성과의 기술력 경쟁에서 이겼다고까지 표현하는 게 오버라면 적어도 절대로 지지는 않았다고 해석될 수 있으며, 삼성으로서는 우려할 만한 상황이라 할 수 있다. 다만 이후 표본이 늘어나면서 이러한 차이가 같은 Fab에서 나온 개별 칩셋끼리 보이는 편차와 큰 차이가 없다는 선에서 정리되었다. 사실 어느 쪽이든 설계는 애플이 맡기 때문에 동일한 성능의 AP를 주문해서 차별 논란을 없애려 했을게 뻔하고, 때문에 큰 차이를 보이는 일이 벌어지기 어려울 수밖에 없다. 여하튼 하도 드문 상황이라 사람들도 정확한 판단을 하기 어려웠다고 보아야 한다.
양산 시기로 따지면 20nm 모바일 AP 상용화 시기는 2014년 하반기로 TSMC와 삼성팹이 비슷한 상황이었지만, 14/16nm 모바일 AP 상용화는 삼성팹이 훨씬 빠른 상황이다. 하지만 소위 빅칩이라 불리는 시스템반도체 제품군들에서는 이제 삼성은 걸음마 단계에 올라와 있는 형국이다. 삼성이 양산기술과 수율이 좋다고 알려져 있지만 이는 작은 모바일 칩이기 때문에 가능하다는 분석도 있다.[19] 사이즈와 수율의 상관관계는 다음 글을 참조하자. 요약하자면 불량이 포함된 칩셋은 버리거나 코어를 비활성화해야 하는데 사이즈가 클수록 버려야 하는 부분이 늘어난다는 의미다. 즉 상대적으로 품질관리가 더욱 어렵고 TSMC는 태생부터 빅칩에서 시작한 기업이라 상대적 신뢰성은 삼성보다 높다고 할 수 있다. 삼성 또한 이런 약점을 알고 있기에 2016년 14nm LPP로 폴라리스10/11 GPU를 라이센스 생산함으로써 빅칩 생산을 본격적으로 시작하였다. 공정을 라이센스했을 뿐 글로벌 파운더리에서 생산되므로 삼성이 직접 생산하지는 않았지만, 앞으로는 삼성도 직접 도전할 것으로 보인다.[20]

4.3. 7 nm


최근 반도체 업계에서는 패터닝[21] 선폭을 줄이기 위해 EUV(Extreme Ultra Violet)[22]를 도입하는 추세인데 이 장비의 개발이 늦어지면서[23] 여기에 대해 삼성과 TSMC는 완전히 다른 스탠스를 보이고 있다. 삼성은 일찌감치 10nm 파생공정을 늘려가면서 EUV 양산이 시작될 때까지 10nm에서 버텨보겠다는 태도를 보이고 있고, TSMC는 EUV 없이 ArFi로 7nm을 도입하면서 이후 양산이 되면 EUV를 도입할 예정이다.
ArFi 멀티패터닝을 이용한 7nm 공정은 멀티패터닝으로 인한 폭발적 비용 증가가 발생하므로 삼성은 EUV가 있어야만 7nm 공정에 돌입할 수 있다는 입장이고 TSMC는 이를 감수하더라도 일단 공정을 선도하고 EUV를 도입하면 그때 그것도 쓰면 된다는 관점. 때문에 당분간은 삼성보다 TSMC가 공정 면에서는 앞서가지만, 대신 최신 공정 물량은 훨씬 적게 나오는 상황(삼성은 7nm ArFi를 대응하는 8nm LPP 공정을 갖추고 있다. 7nm EUV 도입 직전의 공백을 매우기 위함으로 풀이된다. 또한 TSMC의 7nm ArFi 공정과 삼성의 8nm LPP공정은 비슷하다고 볼 수 있다.)이 유지될 것으로 예상 되었다.
2017년 4분기에 7nm ArFi 공정을 테이프 아웃을 했다고 한다. 최초 생산품은 애플의 모바일 AP인 A12가 될 것이라고 한다. 실제로 기기에 탑재되는 시기는 2018년 1분기에서 2분기 사이로 추정되며 이로 인해 확실하게 삼성보다 공정상 우위를 가져오게 되었다. 다만, 한시적이기 때문에 7nm EUV 공정의 양산 일정에 따라 어떻게 될지 결정될 듯하다. 다만, 원가 문제가 존재하지만 성능 게인상 7nm EUV 공정 대비 7nm ArFi 공정이 손해를 보지는 않기 때문에 7nm ArFi 공정으로 생산이 예정되어 있어도 걱정할 부분은 적다는 평가도 있다. 다만 삼성이 7mm EUV 공정의 양산을 준비하고 있고 당초 계획보다 6개월 앞당겼기 때문에 이후 변화가 주목된다. #
그러나 2020년 현 시점에서 되돌아 보았을 때, 삼성은 EUV 공정 양산 시기를 지속적으로 순연시키게 되었고, 그에 따라 TSMC의 N7 공정에서 양산된 Apple A12퀄컴 스냅드래곤 855와 같은 7nm 모바일 AP들이 각각 18년 하반기, 19년 상반기에 등장하였고, AMD와 같은 회사들도 본격적으로 TSMC의 N7 HPC 공정을 활용하여 마티스, 르누아르와 같은 7nm CPU와 APU를 양산할 동안 삼성은 19년 하반기에 삼성 엑시노스 9825를 양산하기 시작하면서 양산 시점에도 확연히 밀리게 되었고, 그에 따라 기존에 보유하고 있던 퀄컴과 같은 고객들도 상당 부분 뺏기게 되었다. 7nm 경쟁에서는 공격적으로 캐파를 확장하여 고객들을 유치한 TSMC가 확연히 앞섰다는 평이 대다수. 그렇지만 이전 서술과 같이 삼성이 자사 시스템 LSI를 제외하면 고객을 아예 유치하지 못했다는 것은 전혀 사실이 아니다.
TSMC는 AMD의 CPU와 APU, 그리고 콘솔용 APU의 수주를 받았고, 애플이나 하이실리콘과 같은 모바일 AP 업계에서 알짜라고 불리는 기업들로부터 수주를 받았고, 향후 인텔과 같은 기업에도 수주를 받을 것으로 전망이 되고 있다.[24] 모두 시스템반도체 부문의 공룡들이기 때문에 어마어마한 지분의 파이를 가져간 것으로 보인다. 반면 삼성은 자사의 시스템 LSI 부서로부터 수주를 받았고, 7LPP 공정 X50 모뎀칩, 800 라인업을 제외한 퀄컴 스냅드래곤 700 라인업과 600 라인업[25]을 수주하는데 성공하였다.[26] 그리고 IBM으로 부터 POWER10 CPU를 수주하는데 성공했는데 이는 600mm^2 대의 빅칩이다. 그리고 2020년 하반기에는 자사의 7nm 공정은 아닌 8nm LPP 공정을 통하여 엔비디아의 암페어 GPU의 GA100 칩셋을 제외한 게이밍용 라인업을 전량 수주하는데 성공하였다.[27] GA102 칩도 600mm^2 대의 크기를 가지는 빅칩이고 NVIDIA Orin 또한 만만치 않은 크기를 가진 빅칩이다. 물론 위에 서술된 것을 다 합하여도 점유율 측면에서는 TSMC 측이 더 많다.

4.4. 5 nm


정식 양산 절차에 돌입하지는 않았으나 5nm 공정도 리스크 생산[28]을 진행 중이다. 대상 제품은 일본의 PEZY 컴퓨터회사의 프로세서 칩셋이다. 2019년 4월 초 초도 리스크 생산 결과 양산 공정을 개발할 수 있는 결과를 얻었다.
[image]
[image]
단, 스냅드래곤 875의 수주물량을 전량 삼성에게 빼앗겼다고 한다. 그리고 엔비디아의 8nm GPU에 이어 엔비디아의 5nm GPU까지 삼성 파운드리에서 생산되는 것이 기정사실화 되었다. 그러나, Apple Silicon A14의 TSMC 5FF 공정에서의 양산이 확정 되었다. 비록 퀄컴, 엔비디아의 물량을 삼성 파운드리 에게 빼앗기고, 하이실리콘의 물량은 금수조치로 인하여 2021년부터 주문을 받을 수 없다는 점을 감안해도, 여전히 초거대 고객사인 애플, AMD, 미디어텍[29]의 주문을 받을 수 있기 때문에 회사의 성장세 자체는 계속 유지할 수 있을 것으로 보여진다.
실제로 우측의 표를 참고하면, 애플의 A14 프로세서가 월 5만장 단위로 생산이 이뤄지고 있으며, 하이실리콘의 빈자리는 애플 M1이 완벽히 채울수 있을 것으로 전망된다. 그리고 콘솔용 APU 생산만으로도 AMD는 막대한 분량의 CAPA를 주문하였던 것으로 보인다. 콘솔용 APU에 최우선적으로 리소스가 투자된 덕분에 AMD 라이젠 5000번대나 라데온 RX 6000번대는 생산에 차질을 빚고 있으며, 엔비디아가 삼성의 8나노 공정을 주문한 것도 이러한 맥락이 어느정도 작용하였을 것이다.

4.5. 2 nm


2019년 6월 대만의 매체인 중관춘과 TSMC 대변인과의 인터뷰에 따르면, TSMC는 2nm 제품을 연구소에서 시범 생산하는 단계이며, 대만 모 처에 2nm 제품 생산을 위한 신규 공장을 건설 중이라 밝혔다. 예상 양산 시점은 2023-2024년이라고 전했다. 특히, 이 공정의 설비는 ASML이나 AMAT의 것이 아닌 TSMC 직영으로 제조한 것이라고 알려져 있다. 반도체 업계에선 TSMC 2nm 공정의 성패를 반도체 설비의 직영 생산에 막대한 투자를 해 온 TSMC의 전략과 관련이 있는 것으로 보고 있다.

5. TSMC GIGAFAB™


[image]
TSMC의 반도체 생산공장들은 11개 정도되지만[30] 그중에서도 주력 공장들은 FAB 12 A/B, 14, 15가 해당하며 그 크기와 생산량이 실로 크고 아름다워서 아예 따로 상표까지 붙였다고(...)
현재 양산용 웨이퍼 중에서는 가장 큰 300mm웨이퍼(인치로는 12인치)를 월 10만 개 이상 소화 가능하다.[31] 사용공정으로는 0.13μm, 90nm, 65nm, 40nm, 28nm, 20nm, 그리고 16nm가 있다.[32] TSMC가 툭하면 신공정 연기에, 로드맵 뒤엎기에 수율 저조를 밥 먹듯이 반복하고 그나마 수율 낮더라도 파운드리 업계 1위를 할 수 있던건 이 공장들의 덕이 매우 크다. 다른 회사와는 달리 압도적인 생산력을 보여주며 그래도 나쁘지 않은 수율 그리고 상대적으로 저렴한 가격을 제시할 수 있었기 때문.
현재 16nm급 공정의 양산에 들어가고 있다 한다. 하지만 2016년 타이난 지진으로 피해를 입었는데, 지진 직후에는 큰 피해를 입지 않았다고 발표되었으나 며칠 뒤 정정되었다. 그 피해가 대략 웨이퍼 생산능력의 1% 이상 정도를 디버프 먹은 정도. 최소 한 달 정도의 지연이 발생했다고 한다. 애초에 별 문제가 없다고 보도자료가 나왔을 당시부터 그걸 그대로 믿는 사람은 아무도 없었다. 지진 규모가 워낙 컸기 때문.
결국 수많은 후발주자들이 천문학적인 투자를 계속해오고 있음에도 2017년 8월 기준으로 2016년 전 세계 파운드리 시장 점유율 50.6%를 차지해 여전히 시장 지배력을 굳건히 하고 있다.

6. TSMC와 타 파운드리 사의 기술력 비교


  • 사업 관련 내용이나 팹리스 사로부터의 수주와 관련된 내용은 윗 문단에 적고 본 문단에는 기술적인 부분만 작성합니다.
  • PPA의 비교는 되도록이면 동일 아키텍쳐를 통하여 비교합니다.
파운드리 업계에서 FinFET 소자 기술을 바탕으로 14nm, 혹은 그 이하의 미세공정 양산에 성공한 기업은 2020년 7월 현재 시점에서 TSMC와 삼성전자 파운드리 사업부, 그리고 인텔과 글로벌파운드리 말고는 존재하지 않는다. 현재 SMIC, UMC 등의 파운드리 회사들이 미세공정 양산을 위하여 연구중이지만 위에 언급된 4개의 회사를 따라잡기에는 역부족인 것으로 보여진다.
이 4개의 회사의 기술력을 비교하기 위해서는 양산 수율이 어느 시점에 본 궤도에 올랐는지와, 공정 자체의 PPA, 즉 Performance, Power, Area 측면에서의 자료가 필요하다. Area, 즉 면적과 면적의 역수인 트랜지스터 밀도는 상대적으로 쉽게 파악할 수 있으나 Performance, Power는 각 회사들이 정보를 쉽게 공개하지 않기 때문에 최대한 비슷한 조건에서의 결과를 통하여 간접적으로 추론해 내야 한다. 아래의 비교 자료들도 PPA + 양산 시점을 기준으로 서술되었다.

6.1. 20nm


[image]
[image]
SPEC 2006 기준 CPU 연산 성능과 전력 대비 성능 비교 데이터
TSMC의 20nm 공정은 20SoC 라는 명칭이 부여되었고, 삼성전자 S.LSI(現 파운드리 사업부)의 20nm 공정은 20LPE라는 명칭이 부여되었다.
동일한 Cortex-A57, Cortex-A53 CPU를 20SoC, 20LPE에서 양산한 결과 두 공정간의 전력 대비 성능 격차는 매우 크게 벌어진다는 사실을 알 수 있다. 삼성의 Cortex-A57은 단 1.77W를 소모하는데 비해 TSMC의 Cortex-A57은 2.8W에 육박하는 전력을 소모하고, Cortex-A53은 Perf/W가 거의 두 배 차이로 벌어지는 것을 볼 수 있다. 물론 삼성이 사용한 Cortex-A57/A53은 ARM이 제공한 RTL에서 전력, 면적 측면을 개선 시키는 추가적인 최적화가 이루어 졌기 때문에 완전히 동일한 조건에서의 수평적인 비교라고 보기는 어렵지만, ARM측의 설계 미스가 분명히 존재했던 Cortex-A57이 아닌 Cortex-A53에서의 Perf/W가 2배 이상으로 벌어지는 것을 보았을 때 20SoC에 면죄부를 주기는 어렵다.
그리고 20SoC 공정에서 양산된 Apple Silicon A8도 매우 낮은 수준의 성능 증가폭을 보여주었다는 사실도 20SoC가 상대적으로 열세였다는 간접적인 증거가 될 수 있다. Apple A6과 A7은 전 세대 대비 CPU, GPU 성능 2배 증가, A9는 전 세대 대비 CPU 성능 1.7배, GPU 성능 1.9배 였지만, 20SoC에서 양산된 Apple A8은 CPU 성능은 전작 대비 25%, GPU 성능은 전작 대비 50% 향상에 그쳤기 때문이다.
[image]
다만 셀 크기, 즉 면적 측면에서는 삼성의 20LPE가 TSMC의 20SoC 보다는 덜 미세하다는 사실을 알 수 있다.

6.2. 14/16nm


TSMC의 16nm는 16FF, 16FF+와 16FFC, 그리고 16nm에서 파생된 12FFN과 같은 공정들이 존재하고, 삼성의 14nm 또한 14LPE, 14LPP, 14LPC, 14LPU와 14nm에서 파생된 삼성 11LPP와 글로벌 파운드리의 12LP, 12LP+가 존재한다. 두 회사의 14nm와 16nm간의 비교는 Apple Silicon A9가 14LPE, 16FF 공정으로 혼용 생산이 이뤄지면서 많은 사람들과 IT 웹진들의 관심을 끌었다.
[image]
[image]
아이폰 6s / 6s Plus 내의 삼성 14LPE, TSMC 16FF 쓰로틀링 비교 그래프
최초로 아이폰 6s/6s Plus가 공개되었을 때 각종 웹진에서는 두 회사에서 생산된 A9 간에 어떠한 차이가 있는지에 대하여 알아보기 위해 여러가지 테스트를 진행하였다. 다른 항목에서는 삼성제 A9와 TSMC제 A9간에는 편차가 거의 존재하지 않았지만, Geekbench 3, 즉 CPU를 지속적으로 Full Load를 걸어서 혹사 시킬때 배터리 지속시간이나 성능 유지 측면에서 삼성제 A9가 더 낮은 결과를 보여줬다는 결과들이 다수 공개되었다. 이는 공정의 비교에 쓰이는 3가지 요소인 P / P / A중 첫번째 P인 성능(Performance), 즉 스피드 게인 측면에서 삼성 14LPE가 더 열등하다는 것이 아니냐는 주장이 제기되었다.
좌측의 그래프는 IYD에서 삼성제 / TSMC제 기기를 각각 2개씩 준비하여 Geekbench 3을 지속적으로 구동하였을 때 쓰로틀링 특성이 어떠한 지에 대하여 측정한 결과이다. 이 결과를 참고하여 보면 TSMC제의 기기가 고클럭에서 더 유리하다는 사실을 간접적으로 추론할 수 있고, 반대로 우측의 그래프에서는 상대적으로 저클럭으로 구동이 되는 GPU를 지속적으로 혹사시켰을 때 삼성 14LPE가 더 유리하다는 사실을 간접적으로 추론할 수 있었다.
[image]
이러한 데이터들을 통하여 IYD 측(現 DrMOLA) 에서는 다음 사진과 같이 삼성의 14LPE와 TSMC 16FF간에 윗 그래프에서 보이는 것처럼 각 사의 공정마다 비교 우위를 가지는 클럭 대가 서로 다르다는 결론을 내렸다.
그러나...
[image]
[image]
아이폰 SE 내의 삼성 14LPE, TSMC 16FF 쓰로틀링 비교 그래프
우측의 그래프는 좌측의 그래프를 확대한 것이다.
그러나 표본수를 늘려서 비교해 본 결과 이번에는 삼성제 A9가 TSMC제 A9보다 Speed Gain 측면에서 우위를 점한다는 결과가 나오게 되고 각 사의 공정간의 우열 관계는 다시 매우 불명확해지게 되었다. 같은 아이폰 6S 내의 A9는 동일한 조건에서 TSMC가 Speed Gain이 더 높았지만, 같은 아이폰 SE 내의 A9는 6S 내의 A9 쓰로틀링 테스트 결과에서 나타난 격차보다 2배 더 큰[33] 격차를 내면서 삼성제 A9가 더 앞섰기 때문이다. 일부 사이트에서는 삼성 14LPE를 폄하하기 위한 목적으로 표본 수가 적었을 때의 테스트 결과를 매직 그래프 수준으로 확대한 해당 그래프 사진 한 장만 다른 곳에 퍼 나르면서 악의적인 여론을 조성하였고, IYD(現 DrMOLA) 측에서 표본 수를 늘려서 다시 테스트를 한 결과가 존재한다는 사실은 거의 알려지지 않은 측면이 존재한다.
이 문제에 대하여 애플의 입장은 두 제조사가 생산한 Apple A9 간의 성능 차이는 없다. 라는 스탠스를 유지하고 있고, 해외 벤치마크 자료들도 삼성제 A9가 쓰로틀링 특성이 나쁘다는 결과와 TSMC제 A9가 쓰로틀링 특성이 나쁘다는 결과가 혼재되어 있다. IYD(現 DrMOLA) 측에서는 테스트 결과를 올리면서

"어쨌든. 여기서 우리는 비로소 A9 AP간의 편차가 제조사 때문이 아닐 수 있겠단 생각을 해 보게 되었습니다. 그러고 보면 해외 벤치마크 자료 중에도, 삼성의 쓰로틀링 특성이 TSMC보다 나쁘단 결론과 그 반대의 결론이 혼재해 있기도 했습니다. 나아가 실은 그 모든 '제조사간의 편차'로 여겨졌던 것들이, 각 제조사 내부에서도 일상적으로 발생하는 '개체간의 편차'가 아니었을까 하는 가정을 세워볼 수도 있게 되었습니다. 이것을 정확히 검증하려면 샘플을 적어도 100대, 1000대 정도는 확보한 후 일일이 테스트를 해 봐야겠습니다만 그럴 여건이 아니니, 일단은 '이럴 수도 있다'는 가설로만 여겨 주시기 바랍니다."

라는 말을 덧붙였다.
[ 삼성 파운드리 14nm와 TSMC 16nm의 면적 관련 데이터(클릭시 확대) ]
[image]
[image]
[image]
[image]
14LPE와 16FF간의 CPP 및 M2P와 셀 사이즈 비교

면적과 밀도(Area) 측면에서는 삼성 14LPE가 TSMC의 16FF 공정보다 더 미세하다. TSMC의 16FF는 자사의 20nm 공정과 동일한 셀 크기를 가지는 데에 비해[34] 삼성의 14LPE는 20LPE보다 유의미한 면적 감소를 이뤄냈다. 수치 상으로 드러나는 CPP는 삼성이 더 미세한 모습을 보여주고 있고, 삼성의 14nm 공정은 TSMC와는 다르게 SDB가 적용되어 있기 때문에 실질적인 밀도 차이는 훨씬 크다. 같은 Apple A9를 생산하는데 삼성제 A9의 면적이 96 평방mm 이고, TSMC의 A9의 면적이 104 평방mm이라서 밀도가 그리 크게 차이나지 않는다고 생각할 수도 있겠지만, 우측 상단의 사진에서 볼 수 있는 것처럼 삼성제 A9는 9T 셀, TSMC의 A9는 7.5T 셀을 사용하고 있다는 점을 참고해야 한다.
결론적으로 삼성의 14nm 공정은 9T 셀을 쓰고도 TSMC와 비교하여 면적 측면에서 소폭 우위를 가진다. 는 결론에 도달할 수도 있고, 역으로 삼성의 14nm 공정은 9T 셀을 써야 TSMC 16nm 7.5T 셀과 스피드 게인 측면에서 그나마 비빌 수 있다. 라는 결론에 도달할 수도 있다.
본격적으로 제품화가 이뤄지기 시작한 시기는 삼성의 14LPE가 TSMC의 16FF보다 반 년 빠르다. 삼성의 14LPE는 엑시노스 7420에 최초로 적용되었고, TSMC의 16FF는 삼성의 14LPE와 14LPP와 시기적으로 중간 시점에 등장한 Apple Silicon A9에 최초로 적용되었다.

6.3. 8/10nm


삼성전자는 10LPE, 10LPP, 10LPU 등으로 구성된 10nm 공정과 해당 공정에서 하프노드 수준의 개선을 이룬 8LPP, 8LPU로 구성된 8nm 공정 라인업을 보유하고 있다. TSMC는 10nm 세대에 CLN10FF(약칭 10FF) 이라는 단 한가지의 공정만 내놓고 최대한 빠르게 ArF 이머젼 쿼드 패터닝 방식을 통한 N7 공정으로 넘어갔다. 인텔의 10nm는 명칭 자체는 10nm긴 하지만 종합적인 면에서 삼성/TSMC의 7nm에 준하는 수준의 공정이다.
[ SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터(클릭시 확대) ]
[image]
SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터

해당 표는 Anandtech에서 측정한 모바일 CPU들의 SPEC 2006 측정 자료이다. 표 좌측의 그래프는 CPU가 소모하는 전력, 그리고 전력에 시간을 곱한 총 소모 에너지 양(J)에 SPEC 2006 테스트 결과 점수를 나눠서 도출된 효율 상수이고, 우측의 그래프는 SPEC 2006을 바탕으로 CPU의 성능을 표기한 자료이다. 최대한 수평적인 비교를 위하여 동일한 Cortex-A73이 동일한 클럭(2.3GHz ~ 2.4GHz)으로 작동할 때의 성능(Perf)과 전력(Power), 그리고 효율 상수(J/SPECSpeed)을 비교해 봐야 한다. 아키텍챠가 동일하지 않다면 비교의 의미가 없고, 스윗 스팟을 넘기면 클럭의 차이에 따라서 효율도 기하급수적으로 변하기 때문이다.
표에서 필요한 데이터를 정리하여 보면 다음과 같다.
AP 명칭 / CPU 아키텍쳐
생산 공정
정수 성능 / 전력 / 효율 상수(J/SPECSpeed)
부동소숫점 성능 / 전력 / 효율 상수(J/SPECSpeed)
퀄컴 스냅드래곤 835
/ Cortex-A73 (2.45 GHz)
삼성 10nm LPE
13.59 / 1.46W / 981
16.63 / 1.69W / 461
하이실리콘 기린 970
/ Cortex-A73 (2.36 GHz)
TSMC CLN10FF
13.00 / 1.38W / 1019
16.12 / 1.72W / 501
클럭이 상승하면 전압도 상승하기 때문에 전력 소모량은 기하급수적으로 상승하게 된다. 따라서 같은 CPU(Cortex-A73)에 비슷한 클럭(2.3~2.4GHz)에서의 전력, 그리고 효율 상수를 비교해 보았을 때, N10 공정과 10LPE 공정은 오차 범위 내 동급의 전력 효율을 보여준다는 사실을 알 수 있다. 두 공정에서 생산된 CPU는 모두 공통적으로 정수 연산, 부동소숫점 연산시 거의 비슷한 양의 전력을 소모한다는 것이 드러났기 때문이다.
[image]
면적 측면에서는 삼성 10LPE보다 TSMC 10FF가 소폭 미세하고, CPP와 M2P를 통해 계산이 이뤄지는 ASML Standard Node 기준으로도 TSMC측의 10FF가 더 미세하다. 그리고, 삼성 10LPP는 10FF보다 소폭 더 미세하다. 그러나 10LPE / 10FF / 10LPP의 트랜지스터 밀도는 유의미한 수준의 차이를 가지지는 않는다.

One thing that I also noticed, is that in very low idle loads where there’s just some light activity on the A55 cores, the Exynos 9820 variant actually uses less power than the Snapdragon unit. The figures we’re talking about here are 20-30mW, but could possibly grow to bigger values at slightly more moderate loads. It’s possible that Qualcomm has more static leakage to deal with on the 7nm process than Samsung on 8nm, one thing that I’ve come to hear about the TSMC 7nm node.

동일한 ARM Cortex-A55로 비교해 본 결과 10nm 공정의 파생 공정인 8LPP는 7FF와 비교하였을때 밀도, Full Load 시의 효율 면에서 종합적으로 열세라고 평가되지만, Idle 시의 정적 누설전력이 약 20~30mW 더 낮다는 장점을 가지고 있다.
삼성의 8LPP와 TSMC의 N7 라인업은 이름과는 달리 밀도 차이가 꽤 크지만, N7 라인업 중 N7 HPC 라인업은 8LPP와 밀도 측면에서 비슷한 수준인 것으로 평가되고 있다. 인텔의 10nm 공정 또한 HD 셀에서 HP, UHP 셀로 고성능화가 이뤄지면 이에 따른 Trade-off로 인하여 밀도 측면에서 희생이 이뤄지고, UHP 셀의 경우에는 N7 HPC와 밀도 측면에서 비슷한 수준인 것을 볼 수 있다.
시기 면에서는 본격적으로 10nm 탑재 제품의 상용화가 이뤄진 시기는 삼성 10nm가 반년 더 빠르다. 삼성 10LPE는 2017년 초에 출시된 갤럭시 S8의 삼성 엑시노스 8895 & 퀄컴 스냅드래곤 835를 양산하는데 사용되었고, TSMC의 N10 공정은 2017년 하반기에 출시된 아이폰 X의 Apple A11을 양산하는데 사용되었다.
[image]
[image]
[image]
인텔 10nm 공정 면적 & 밀도 세부 데이터
한편 인텔의 10nm 공정은 특이하게도 자사의 이전 세대 공정인 14nm와 비교할 수 있는 데이터가 존재한다. Anandtech 측에서는 14nm 공정에 생산된 카비레이크 Core i3-8130U와 10nm 공정에서 생산된 캐논레이크 Core i3-8121U 간의 비교 리뷰를 진행하였다. 두 CPU 모두 같은 스카이레이크 아키텍쳐에 동일한 수준의 TDP, 베이스 클럭을 가지고 있기 때문에 비교할 수 있는 조건이 잘 갖춰진 셈이다.
[image]
[image]
[image]
인텔 10nm vs 14nm 비교 자료
좌측 상단과 우측 상단의 그래프를 보면, 14nm 카비레이크는 AVX2 테스트 중에 2GHz 후반대의 클럭을 유지하였고, 10nm 캐논레이크는 AVX2 테스트 중에 2GHz 초반대의 클럭을 유지하는 모습을 보여주었다. 물론 클럭 차이만큼 전력 소모도 차이가 있었는데 카비레이크는 클럭이 유지되는 구간동안 평균 15.0W, 캐논레이크는 12.6W의 전력을 소모하였다. 하지만 카비레이크 CPU가 전체 테스트를 더 빨리 종결하였고, 그로 인하여 카비레이크 CPU가 소모한 전력이 오히려 더 적다는 계산이 나오게 되었다.

Core i3-8121U (CNL) consumes 867 mWh
Core i3-8130U (KBL) consumes 768 mWh

한편 비교 자료의 아랫 그래프에는 CPU 내의 언코어 부분을 제하고, 두 CPU 모두 2.2GHz 클럭을 유지할 때의 전력 소모가 나왔는데 10nm 공정인 캐논레이크는 10W를 소모하는데 반해, 14nm 공정인 카비레이크는 단 7W만 소모한다는 결과가 도출이 되었다. 캐논레이크를 양산하는데 쓰인 공정이 10nm HD 공정인데도 2.2GHz 구간에 14nm 공정과 비교해서 효율면에서 역전당하는 것을 보면 인텔의 10nm 공정과 소위 말하는 '하이퍼 스케일링' 이라는 전략이 너무 무모했다는 목소리가 나오는 상황.
[image]
[image]
좌측은 타사 CPU와 인텔 아이스레이크 CPU의 전력효율 비교 그래프
우측은 인텔의 10nm SuperFin(10SF)
최근 출시된 Sunny Cove CPU는 인텔 10nm 공정의 고질병을 그래도 어느정도 해소한 것으로 보인다. 아이스레이크-U/Y는 캐논 레이크가 사용한 10nm 공정에서 밀도를 희생하여 Speed Gain을 얻은 10nm+ 공정이고, 해당 공정과 신 아키텍쳐를 통하여 AMD가 사용한 TSMC의 7nm HPC 공정과 유사한 전력효율을 가진다는 것을 알 수 있다. 그리고 인텔은 더 이상 타이거 레이크와 같은 차기 CPU/GPU를 양산하는데 사용되는 자사의 차기 10nm 공정에 10nm++가 아닌 10nm SuperFin / 10nm Enhanced SuperFin 이라는 명칭을 부여하게 된다. 우측의 사진을 보면 드러나듯이 Additional Gate Pitch, 즉 CPP를 완화하여 전압을 더 높이고 Speed Gain을 10nm+ 대비 17~18% 끌어올리는 것이 목표라고 한다.
시기 면에서는 본격적으로 인텔 10nm 탑재 제품의 상용화가 이뤄진 시기는 2018년 초이다. 그러나 2018년 초에 출시된 캐논 레이크는 단 2개의 코어만 활성화 할 수 있고, 내장 그래픽(IGP)은 아예 비활성화가 되어있고, 성능과 전력소모 측면에서도 도저히 정상적인 수율로 양산되었다고 보기 어려운 하자품이다. 그 이후 타사의 7nm 공정에 비견할 수 있는 아이스 레이크가 최초로 상용화 된 시기는 2019년 하반기이다.

6.4. 7nm


[ SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터(클릭시 확대) ]
[image]
SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터

TSMC는 자사의 7nm 공정에 세대별로 N7(7FF), N7P(7FFP), N7+(7FF+) 라는 명칭을 부여하였고, 삼성의 7nm 공정은 7LPP가 유일하다.
해당 표는 Anandtech에서 측정한 모바일 CPU들의 SPEC 2006 측정 자료이다. 표 좌측의 그래프는 CPU가 소모하는 전력, 그리고 전력에 시간을 곱한 총 소모 에너지 양을 J 단위로 표기한 자료이고, 우측의 그래프는 CPU의 성능을 표기한 자료이다. 최대한 수평적인 비교를 위하여 동일한 Cortex-A76이 동일한 클럭(2.4GHz ~ 2.6GHz)으로 작동할 때의 성능(Perf)과 전력(Power), 그리고 총 소모 에너지(J)을 비교해 봐야 한다. 테스트를 진행하는 동안 총 소모 에너지의 양은 곧 전력 대비 성능의 역수가 되기 때문에 총 소모 에너지를 통하여 전력 대비 성능을 유추할 수 있다.
표에서 필요한 데이터를 정리하여 보면 다음과 같다.
AP 명칭 / CPU 아키텍쳐
생산 공정
정수 성능 / 전력 / 총 소모 에너지(J)
부동소숫점 성능 / 전력 / 총 소모 에너지(J)
삼성 엑시노스 990
/ Cortex-A76 (2.5 GHz)
7nm LPP
21.69 / 1.65W / 총 9472J 소모
31.26 / 2.01W / 총 5014J 소모
퀄컴 스냅드래곤 765G
/ Cortex-A76 (2.4 GHz)
21.81 / 1.55W / 총 8877J 소모
30.00 / 1.98W / 총 4982J 소모
퀄컴 스냅드래곤 855 (미들 클러스터)
/ Cortex-A76 (2.43 GHz)
CLN7FF
22.41 / 1.53W / 총 8576J 소모
31.93 / 2.03W / 총 4755J 소모
하이실리콘 기린 980
/ Cortex-A76 (2.6 GHz)
25.74 / 1.95W / 총 9480J 소모
33.97 / 2.45W / 총 5337J 소모
클럭이 상승하면 전압도 상승하기 때문에 전력 소모량은 기하급수적으로 상승하게 된다. 따라서 같은 CPU(Cortex-A76)에 비슷한 클럭(2GHz 중반대)에서의 전력, 그리고 에너지 소모량을 비교해 보았을 때, N7 공정과 7LPP 공정은 오차 범위 내 동급의 전력 효율을 보여준다는 사실을 알 수 있다. 두 공정에서 생산된 CPU는 모두 공통적으로 정수 연산시 9000J 안밖의 에너지를 소모하고 부동소숫점 연산시 5000J의 에너지를 소모하고 있다.
AP 명칭 / CPU 아키텍쳐
생산 공정
정수 성능 / 전력 / 총 소모 에너지(J)
부동소숫점 성능 / 전력 / 총 소모 에너지(J)
하이실리콘 기린 990 4G
/ Cortex-A76 (2.86 GHz)
CLN7FF+
27.85 / 1.82W / 총 8145J 소모
38.75 / 2.38W / 총 4597J 소모
하이실리콘 기린 990 5G
/ Cortex-A76 (2.86 GHz)
27.68 / 1.56W / 총 7051J 소모
38.72 / 2.09W / 총 4006J 소모
한편 TSMC N7+ 공정에서 양산이 된 기린 990 5G는 정수 연산 테스트에서 7000J, 부동소숫점 테스트에서는 단 4000J을 소모하였다. 기린 990 5G 내의 Cortex-A76은 2.86GHz로 작동하면서 N7이나 7LPP 공정에 양산된 Cortex-A76이 2.4GHz로 작동할 때와 동일한 전력(정수 연산시 1.5W, 부동소숫점 2.0W대)을 소모한다. 이는 TSMC의 N7이 ArF 이머젼 방식의 쿼드 패터닝 공정을 거치는데에 반해 N7+는 EUV 공정에서 양산되었기 때문이다. 다만 N7+의 양산은 월 2만장 수준으로 한정되어 있는 것으로 보이고, 애플의 독점적 공급에 필요한 양산 수량은 월 6만장~7만장이기 때문에 이는 N7+가 아닌 N7P 공정을 통하여 Apple Silicon A13의 양산이 진행중이다. 한편 삼성의 7nm LPP 또한 EUV 공정이지만 위의 표를 보면 고클럭에서 N7+보다 10~20% 밀리면서 상당히 약한 모습을 보여주는데, 이는 공정 최적화가 덜 되었기 때문이다. 실제로 공정 최적화 과정을 거쳐서 퀄컴 스냅드래곤 768G 가 출시되었고, 이 768G의 CPU 클럭은 '''2.8GHz''' 까지 상승하였다.
[image]
[image]
IDLE 및 저클럭에서의 CPU 전력소모 그래프
좌측의 사진은 두 회사의 A9 프로세서 간의 차이를 나타내는 그래프이지만 7nm 에서도 동일하게 적용할 수 있다. 우측의 사진은 AP만 다른 동일한 기종의 스마트폰을 비행기 모드로 설정하여 통신칩으로 인한 편차를 제거하고, 화면을 꺼서 디스플레이로 인한 편차를 제거한 상태에서 IDLE 상태의 AP 전력소모를 비교한 결과이다. 이 결과와 위의 표 내의 자료를 참고하면, 2GHz 후반대의 클럭에서는 TSMC의 N7P, N7+가 삼성전자의 7LPP 대비 상대적인 우위를 가지고 있다는 사실이 간접적으로 드러났지만, 반대로 1GHz 이하의 저클럭에서는 삼성전저의 7LPP가 비교 우위를 점하고 있다는 것을 알 수 있다.
[image]
[image]
TSMC와 삼성의 7nm 셀 크기 비교
한편 면적(Area) 측면에서는 삼성의 7LPP가 퀄컴 스냅드래곤 855를 양산하는데 쓰인 TSMC의 N7보다 약 5% 더 미세하다고 퀄컴 측에서 2020년 VLSI 심포지엄에서 직접 발표한 적이 있다. 그리고 SRAM 셀의 크기는 삼성의 7LPP가 가장 작은데 이 또한 삼성 파운드리에서 양산된 칩이 작은 면적을 가질 수 있는데 일조한다.
반도체 공정간의 밀도 비교 예측자료를 내놓는 Semiwiki 측에서는 삼성의 7LPP의 CPP가 54nm가 아닌 57nm라고 예측하여 이로 인하여 TSMC의 7FF가 트랜지스터 밀도 측면에서 7LPP를 앞설 것이다 라고 예측을 한 적이 있었지만, 실제 7LPP의 밀도는 90MT/mm^2대가 아닌 101.6MT/mm^2로 HD 셀 기준 7FF/7FFP 보다는 소폭 높고 7FF+(EUV) 보다는 소폭 낮은 수준이다. 7LPP의 CPP가 54nm 라는 것은 테크인사이츠의 실측치에도 명백히 드러나 있는 사실이다.
시기적인 측면에서는 TSMC의 7nm 공정이 18년 하반기에 쓰이기 시작한 Apple A12와 19년 상반기에 쓰이기 시작한 퀄컴 스냅드래곤 855에 적용되었지만, 삼성은 그보다 1년 늦은 19년 하반기의 엑시노스 9825를 양산하는데에 활용되었다.

6.5. 4/5nm


[ 삼성과 TSMC의 5nm 공정 비교 (클릭시 확대) ]

TSMC와 삼성은 명칭은 같은 5nm 공정으로 붙였지만 각 사의 5nm는 판이하게 다른 모습을 보여주고 있다.
삼성의 5nm 공정은 트랜지스터 밀도의 1.33x 향상, 그리고 면적 감소는 25% 이다. 그에 반해 TSMC는 5nm 공정에서 트랜지스터 밀도의 1.8x 향상, 그리고 45%의 면적 감소라는 파격적인 목표를 제시하고 있다. 따라서 삼성의 5nm는 TSMC의 5nm에 비해 면적 & 밀도 측면에서 약 30% 정도 차이가 난다고 볼 수 있고, 삼성의 5nm는 엄밀히 따지면 풀 노드가 아닌 하프 노드라고 볼 수있다. 그러나 진짜 하프노드인 TSMC N6이나 6nm LPP 공정과 비교할 만한 수준이라는 의미는 아니다. CPP와 메탈 피치, 그리고 ASML Standard Node 기준으로 삼성의 7nm와 5nm는 서로 동일하지만, 25% 면적 감소를 위해 SDB 적용, 트랙 수를 5T로 줄이고, EUV 적용 레이어 수를 훨씬 늘리는 등의 다른 기술들이 적용되었기 때문이다. TSMC도 20nm에서 16nm로 넘어갈 때 ASML Standard Node 기준으로는 두 공정이 서로 동일한 공정이었으나, 소자 측면에서 FinFET을 적용하고, 이를 통하여 소비전력을 획기적으로 낮춘 사례와 일치한다. 하프 노드긴 하지만 풀 노드에 준하는 개선이 이뤄진 공정이라고 판단해도 좋다.
삼성은 이러한 격차를 줄이기 위해 5LPE의 후속 공정인 5LPP와 5LPI, 그리고 4nm 2세대 공정을 준비하고 있고, TSMC 또한 자사의 5FF를 개선한 5FFP와 AMD 제품을 생산하는 용도로 쓰이는 5nm HPC 공정을 준비할 것으로 보여진다. 다만 최근에 공개된 기사 내용을 보면 4nm LPP는 하프노드의 하프노드가 아닌 새로운 세대의 공정으로 분류될 가능성도 존재한다. 다만 트랜지스터 밀도 면에서는 한 가지 유의해야 할 점이, 실제 애플 실리콘의 트랜지스터 밀도를 살펴보면, 5nm 세대에 접어들어서 부터는 공식적인 스펙으로는 분명 못해도 170~180MT/평방mm 수준의 밀도를 가져야 할 제품이 실제로는 130MT/평방mm 의 수준의 밀도로 설계되어 나왔기 때문이다. 즉 공식적인 트랜지스터 밀도랑, 그 공정으로 출시한 제품들의 실제 밀도가 100% 일치하지는 않는다는 것.
양산 시기 면에서는, TSMC의 5nm 공정은 첫 제품이 Apple Silicon A14이기 때문에 2020년 하반기부터 실제 제품이 출하가 되었고, 삼성의 5nm 공정은 첫 제품이 엑시노스 1080이기 때문에 역시 동일한 2020년 하반기부터 실제 제품이 출하가 되었다. 물론 같은 2020년 하반기지만 실제 공개 및 출하 시점에서 삼성의 5nm 공정은 약 2개월 뒤쳐졌다. 물론 이는 고객사의 제품 출시 일정에 따라 달라진 것이기 때문에 본질적으로는 같은 시기라고 보는 것이 맞다.
[ SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터(클릭시 확대) ]
[image]
SPEC 2006 기준 CPU 정수 성능과 FP 연산 능력 및 효율 데이터

해당 표는 Anandtech에서 측정한 모바일 CPU들의 SPEC 2006 측정 자료이다. 표 좌측의 그래프는 CPU가 소모하는 전력, 그리고 전력에 시간을 곱한 총 소모 에너지 양을 J 단위로 표기한 자료이고, 우측의 그래프는 CPU의 성능을 표기한 자료이다. 최대한 수평적인 비교를 위하여 동일한 Cortex-A77이 동일한 클럭(3.1GHz)으로 작동할 때의 성능(Perf)과 전력(Power), 그리고 총 소모 에너지(J)을 비교해 봐야 한다. 테스트를 진행하는 동안 총 소모 에너지의 양은 곧 전력 대비 성능의 역수가 되기 때문에 총 소모 에너지를 통하여 전력 대비 성능을 유추할 수 있다.
표에서 필요한 데이터를 정리하여 보면 다음과 같다.
AP 명칭 / CPU 아키텍쳐
생산 공정
정수 성능 / 전력 / 총 소모 에너지(J)
부동소숫점 성능 / 전력 / 총 소모 에너지(J)
하이실리콘 기린 9000
/ Cortex-A77 (3.13 GHz)
CLN5FF
37.77 / 2.34W / 총 7754J 소모
50.94 / 2.83W / 총 4115J 소모
퀄컴 스냅드래곤 865+
/ Cortex-A77 (3.09 GHz)
CLN7FFP
36.00 / 2.59W / 총 8955J 소모
50.17 / 3.16W / 총 4648J 소모
동일한 조건 하에서 TSMC N7P와 TSMC N5는 동일 성능, 동일 아키텍쳐, 동일 클럭 하에서 N5가 N7P와 비교시 평균적으로 전력 소모량이 12% 더 낮았다. 이는 TSMC가 공개한 수치와는 차이가 좀 있지만, 원래 보통 삼성이나 TSMC와 같은 기업들이 발표하는 Perf/Power/Area 에 대한 정보는 최적의 구간 기준 이라는 점을 항상 명심하여야 한다.
[ SPEC 2006 기준 Cortex-A55 @ 1.8GHz 구동시 전력소모(클릭시 확대) ]
[image]
SPEC 2006 기준 Cortex-A55 @ 1.8GHz 구동시 전력소모

해당 표는 Anandtech에서 측정한 모바일 CPU의 SPEC 2006 전력 측정 자료이다. 최대한 수평적인 비교를 위하여 동일한 Cortex-A55가 동일한 클럭(1.8GHz)으로 작동할 때의 전력(Power)을 비교해 봐야 한다. 보통 Cortex-A53이나 Cortex-A55는 공정 자체의 PPA를 검증하는데 자주 사용되기 때문에 본 테스트를 통하여 공정 자체의 전력 대비 성능을 유추할 수 있다.
표에서 필요한 데이터를 정리하여 보면 다음과 같다.
AP 명칭 / CPU 아키텍쳐
생산 공정
전력(mW)
전력 대비 성능(%)
퀄컴 스냅드래곤 888
/ Cortex-A55 (1.8 GHz)
Samsung 5LPE
304
+1.6%
퀄컴 스냅드래곤 865
/ Cortex-A55 (1.8 GHz)
CLN7FFP
309
-
한편 TSMC N7P와 삼성 5LPE는 동일 성능, 동일 아키텍쳐, 동일 클럭 하에서 비교시 5LPE가 Power 측면에서 1~2% 앞서는 수준으로 사실상 오차범위 내에서 동급이었던 것으로 판단이 된다. 7LPP = N7 < 5LPE = N7P < N5 라는 공식이 성립하는 것이다. 물론 삼성의 5nm 공정이 TSMC의 그것보다 기술적으로 10% 더 낮은 수준인 것은 사실이지만, 일부 중화권 미디어에서는 삼성의 5LPE가 TSMC의 N7P보다도 훨씬 낮은 수준의 효율을 가진다고 호도하는 경우도 많은데 이 또한 그대로 수용해서는 안된다. 중화권 사이트의 측정 자료는 대부분 실측 자료가 아니라 어플리케이션으로 예측한 자료이기 때문에 전력소모 측정 면에서 정확하다고 할 수 없기 때문이다. 본 문서에서 Anandtech 사이트의 측정 자료만 올리는 것도 그 때문이다.
한편 인텔은 예전에 자사의 14nm가 진짜 14nm라고 광고했던 것처럼 TSMC의 5nm 공정과 자사의 7nm 공정이 동일한 티어라고 주장하고 있지만, TSMC와 삼성이 5nm 공정을 반년 텀을 두고 2020년 하반기, 2021년 상반기에 내놓는다는 것이 확정된 것과는 달리 현재 인텔의 7nm는 Tape-Out은 커녕 기술적인 세부 사항조차 아직 정하지 못하였고, 2023년으로 양산 시기를 순연 한다는 결정을 내렸다. Semiwiki 측에서 인텔의 7nm 공정이 TSMC/삼성의 3nm 세대와 맞먹는 수준의 트랜지스터 밀도를 가질 것이라는 예측을 내놓은 적이 있지만, 인텔의 하이퍼 스케일링 전략은 이미 물건너간지 오래이다. 인텔 측에서는 공식적으로 자사의 7nm가 N5와 동일한 티어의 공정이라고 말했으니 혼동하지 말자. 이는 인텔 팹이 2023년 이전까지는 TSMC나 삼성과의 비교는 꿈도 꾸지 말아야 한다는 선고가 내려진 셈이다.

6.6. 3nm


[ 삼성과 TSMC의 3nm 공정 비교 (클릭시 확대) ]
[image]
[image]
삼성 3GAAE, 3GAAP 공정 기술 사항
[image]
[image]
TSMC N3 공정 기술 사항(좌측이 구 자료 / 우측이 최근 자료)

TSMC와 삼성이 5nm 공정에서 걷는 길이 달랐고, 3nm 공정에서도 역시 서로 다른 길을 걸을 것으로 보여진다.
면적과 밀도 측면에서 양 사에서 언론에 공개한 내용에 따르면 삼성의 3nm 공정은 2019년에는 7LPP와 비교해서 45%의 면적 감소가 이뤄진다고 하였으나 그 이후 2020년에 보도된 자료에 따르면, 5LPE와 비교하여 면적이 35% 감소[35]하기 때문에 이를 통해 미루어 봤을때 밀도는 약 1.5배 증가할 것이고. TSMC의 3nm 공정은 5FF와 비교하여 트랜지스터 밀도가 15% 증가하기 때문에 이를 통해 미루어 봤을때 면적은 약 13% 감소할 것이다. 엄밀히 따지면 TSMC의 N3은 삼성의 3GAAE와는 달리 풀 노드가 아닌 하프 노드라고 볼 수 있다.
그리고 TSMC의 3nm 공정은 소자 측면에서 FinFET을 그대로 유지하면서 2nm 세대부터 GAAFET을 도입할 것이지만 삼성은 3nm GAAE/GAAP에서 조기에 GAAFET(MBCFET)을 적용할 것이다.
따라서 결론적으로 TSMC의 N3 공정은 사실상 N5와 비교해서 하프노드라고 불릴 정도로 작은 개선폭만 보여주는 공정이 될 것이고, 이로 인하여 5nm에서 벌어졌던 공정의 우열 관계는 3nm에서 다시 삼성이 트랜지스터 밀도 측면에서 따라잡고[36], GAAFET을 적용하면서 뒤집히게 될 것이다. 다만 GAAFET 소자의 조기 도입이 과연 득이 될 지 EUV 조기 도입때처럼 독이 될지는 좀 더 지켜봐야 알 수 있는 일이다.
다만 최근 자료에서는 TSMC N3의 밀도 향상치가 더욱 공격적으로 제시되어 있다. TSMC의 N3이 N5에 이어 또 한번 1.7배 수준의 밀도 향상을 이뤄낸다면 GAAFET을 포기하는 대신 1세대에 준하는 수준의 밀도 차이가 날 것이다. 정황상으로는 최근 자료가 더욱 타당한 것으로 보인다. 실제 관련 자료가 TSMC 오피셜로 공개시 정확한 추이를 알 수 있을 것이다.

6.7. 2nm


TSMC도 자사의 N2 공정에는 GAAFET 소자를 적용할 예정이다.
2nm 이후의 공정에는 어떠한 명칭이 붙을지는 의문이다. 1.4nm, 1.0nm와 같은 소숫점이 붙을 수도 있다. 그러나 파운드리 사의 공정 명칭이 실제 ASML Standard Node보다 약 2세대 가량 앞서나가 버린 시점에서 이러한 명칭 자체가 무의미하다.

6.8. 결론


삼성은 그동안 HKMG, 20nm, 14nm, 10nm, EUV의 적용에 있어서 항상 선두를 유지했으나, 레거시 공정을 제외한 초미세공정 내에서의 점유율 측면에서 지속적으로 삼성이 치고 올라오는걸 묵과할 수가 없었던 TSMC는 7nm 세대부터 천문학적인 돈을 때려 부으면서 공격적인 R&D 전략과 CAPA 확충에 나서기 시작하였다.
그로 인하여 TSMC는 공정미세화 기술력 측면에서 삼성전자를 상대로 역전해내는데 성공하였고,[37] 삼성전자의 파운드리 사업부는 알짜 고객들의 물량을 많이 놓치면서 꽤나 고전하는 모습을 보여주고 있지만, 그래도 양 사간의 기술 격차가 0.5세대 이상으로 까지 확대하도록 놔두지는 않는 중이다. 지금도 한국 1위 기업과 대만 1위 기업은 그동안 쌓아 놓은 자금력을 바탕으로 파운드리 시장에서 매년 수십조원의 규모에 다다르는 투자를 집행하는 중이다.
TSMC가 파운드리 업계의 단독 선두 위치였던 과거와는 달리 이제는 초미세공정 분야에서 위협적인 도전자가 등장하였기 때문에, 과거 40nm, 혹은 그 이전 세대때 "이게 다 TSMC 때문이다." 라는 말이 나올 정도로 자사가 설정한 로드맵 뒤엎고 양산 일정이 순연되면서 수율 불량이 밥 먹듯 튀어나오는 사태는 이젠 볼 수 없게 되었다. 즉 다시 말해서 "이게 다 TSMC 때문이다." 라는 말은 역사 속으로 사라진 셈이다.
5nm 이후 TSMC의 전략을 보면 TSMC는 7 - 5 - 3 으로의 발전 과정에서 80%, 15%로 초반 5nm에서의 파격적인 변화를 노리는 중이고, 삼성은 7 - 5 - 3 으로의 발전 과정을 거치는 동안 밀도를 각각 33%, 50% 증가시키면서 상당히 완만한 단계의 개선폭을 이루려고 하는 중이다. 5nm 공정에서 일어날 격차는 이게 반영된 결과일 것이다.
미세공정 기술력과 별개로 CAPA, 그리고 빅칩 양산에 도움을 줄 수 있는 CoWoS와 같은 패키징 기술력은 TSMC가 여전히 앞서는 상황이다. 그러나 삼성전자도 퀄컴 센트릭 2400, 엔비디아 GA102, IBM POWER10, 엔비디아 Orin 등을 양산하면서 빅칩 양산에 서서히 도전하는 중이며, 삼성전자는 자사 EUV 공정에 적용하기 위한 EUV용 펠리클 기술을 연구하는 중이다.

7. 여담


2019년 1월 28일, TSMC는 감광석의 문제로 1만장 가까이 되는 웨이퍼가 오염되었다고 한다.[38] 다만 이것이 TSMC에게 큰 악재가 될 것이라는 분석은 매우 과장된 분석이다. 이 문제는 16nm/12nm까지로 국한되어 있으며, 이 공정은 이제 엔비디아와 미디어텍 정도에서나 사용되기 때문이다. 또한 기업 입장에서도 이 때문에 삼성 파운드리로 넘어가기 쉽지 않은 것이, 삼성 파운드리로 넘어가게 된다면 제품을 테스트 단계부터 다시 시작해야 하며, 이 과정에서 손실이 발생할 수 밖에 없기 때문이다. 결론적으로, 이 문제가 TSMC의 신뢰도에는 영향을 줄 수 있지만, TSMC의 실질적인 손해가 크거나 삼성 파운드리에 큰 호재가 될 것이라는 것은 매우 과장된 분석이다.

7.1. 시가총액 추이


대만 증시에서 시가총액이 가장 큰 기업이다. 2017년 하반기를 기준으로 TSMC는 약 200조 원 전후로,[39] 한국에서 주로 비교 상대가 되는 삼성전자가 동시기 약 300~350조 원대 전후임을 고려하면 상당히 시총이 큰 기업이다. 특히 파운드리 업계에서는 TSMC가 독보적인 입지를 차지하고 있다. 더군다나 삼성은 온갖 제품을 다 생산하며 수직계열화도 완료된 상태라는 것을 고려한다면... 매출은 메모리 및 비메모리 분야를 전부 합쳐 세계 4위권을 넘나들고 있으며 한 때 인텔시가총액을 추월했었지만 2019년 7월 초 기준으로는 원화기준 TSMC는 230조원 가량이며 인텔이 250조 원가량이므로 다시 인텔이 위로 올라왔다. 다만 인텔과 앞서거니 뒷서거니 할 정도로 거대한 기업이라는 사실은 이견의 여지가 없다. 그리고 2019년 11월 22일 기준으로 시총이 삼성전자를 뛰어넘었다는 여러 기사가 보도되었으나, 이는 삼성전자의 우선주 총액을 고려하지 않은 잘못된 소식이다. 보통주와 우선주를 합하면 아직도 삼성전자의 시가총액이 더 높다.[40] 기사 링크
그러나 격차를 점점 좁혀가면서 2020년 7월 현재 삼성전자를 추월하는 데에 결국 성공했다. 우선주를 포함한 삼성전자 시가총액이 350조 가량인데 반해 TSMC는 370조 이상을 기록하고 있다. 이로써 TSMC는 시가총액 기준으로 세계 반도체 회사 1위가 되었다. #
2020년 7월 말, 삼전의 시총이 우선주 포함 350조를 횡보하는 가운데 TSMC의 시총은 400조를 넘나들고 있고, 2020년 10월에는 4,100억달러로 달러값이 많이 낮아진걸 감안해도 약 470조원에 달하는 시가총액을 보여 삼성전자 대비 100조원정도 시가총액이 커졌다.
다만 이후 2020년 연말 삼성전자의 우선주 포함 시가총액이 540조를 돌파하며 tsmc를 다시 추월했다.
2021년 1월 현재 삼성전자의 시총은 우선주를 포함 585조원인반면 TSMC는 한화로 600조를 돌파, 614조원에 달하고 있다.

7.2. 삼성전자 디스


장쭝머우 이사장 2011년 "대만과 한국이 반도체에서 1, 2위를 다투고 있는 상황에서 한국 정부가 삼성을 지원하는 것은 합리적이지 않고 공정한 경쟁이 아니다"고 했다. #

8. 참고 문서



[1]716조 6,706억원 (2021년 1월 17일 기준) [2] 삼성전자SK하이닉스의 경우에는 설계 능력을 갖추고 있으므로 IDM(Integrated Device Manufacturer)으로 분류되는 반면에 TSMC는 설계는 하지 않고 팹만 운영하는 순수한 파운드리 업체이다. 단 삼성과 하이닉스 또한 수익의 대다수는 파운드리에서 발생하며 시스템 반도체로 분류되는 소위 빅칩의 생산능력은 크게 갖춰지지 않았다. 삼성은 과거 AMD의 CPU를 생산한 경력이 있는 글로벌 파운드리와 함께 AMD엔비디아의 로우엔드 제품을 일부 생산하는 정도. IDM에 속하는 인텔파운드리 사업을 하고는 있으나 점유율이 워낙 작아 존재감이 없다.[3] 그러나 하드웨어(특히 GPU) 소식을 자주 접하는 이들은 좋든 싫든 알게되는 이름 중 하나였다. HD4770 이전부터 알 사람은 다들 알고 있는 업체. 다만 엔비디아와 ATI 처럼 대중적이진 못했다.[4] 이때 출고가 $140 였던 HD4770이 재고 부족으로 20만 원이 넘게 폭등했다.[5] 왜 파운드리 경쟁사인 삼성전자가 고객이냐하면, 삼성은 자체적인 파운드리로 생산도 하지만 모든 갤럭시폰에 엑시노스를 탑재하는 것도 아니기 때문이다. 아이러니하게도 삼성전자가 TSMC를 먹여살려주는 셈이다.[6] 이전까지는 삼성전자가 독점으로 공급하는 부품이었으나 iPhone 6s의 수요급증으로 인해 삼성전자와 TSMC가 각각 50% 씩 생산한 이후 삼성전자의 칩이 탑재된 iPhone 6s가 TSMC가 제조한 칩이 탑재된 아이폰 6s보다 성능이 떨어진다는 논란이 일자 Apple은 iPhone 7부터 TSMC에게만 위탁을 맡기기 시작했다.[7] 덕분에 경쟁사인 LGLG G6은 스냅드래곤 821을 탑재했다.[8] 비메모리 반도체 파운드리라고 해 봐야 퀄컴 스냅드래곤 시리즈 몇 종 찍은 것이 전부라고 봐도 된다.[9] HD7970이 2011년 12월 런칭했으나 사실상 페이퍼 런칭이었고, 실물은 다음 해 1월쯤부터 유통되기 시작했다.[10] 이것으로 가장 큰 피해를 본 것이 GTX 400시리즈. 안 그래도 설계상으로 말이 많았는데 이 문제까지 겹쳐 누설전류가 미친듯이 증가했고, 결국 GTX 480은 역대 최악의 발열킹으로 이름을 날리게 되었다.[11] http://www.extremetech.com/computing/199101-amd-nvidia-both-skipping-20nm-gpus-as-tsmc-plans-massive-16b-fab-investment-report-says[12] 현재 NVIDIA와 AMD의 공정 세밀화는 기술적으로 요구되는 것이라기 보다는 단순한 브랜드 경쟁이라는 관측이 크다. 실제로 이들 기업에서 생산하고자 하는 반도체는 굳이 28nm 공정으로 만들지 않아도 이전 공정으로도 충분히 생산을 할 수 있는 것들이다. 쉽게 이야기해서 누가 먼저 신공정으로 칩을 뽑아내나 경쟁하다가 TSMC에게 돈 갖다 바치고 라인 시운전을 하게 해준 격이다.[13] 여기에 대한 반론으로는 GPU 같은 칩의 특성상 무작정 때려박는 것이 성능 향상에 있어선 제일 효율적인 방법이기 때문에 신공정일수록 압도적으로 유리하다는 사실이 있긴 하다. 그러니까 그저 단순한 브랜드 경쟁이 아니라, 목표하고자 하는 성능을 내기 위해서는 어쩔 수 없이 감행해야 하는 셈. 같은 성능만큼 때려박더라도 신공정으로 만든 칩의 물리적인 크기, 그에 따른 발열과 전력소모량이 압도적으로 좋기 때문이다.[14] FM대로 하면 파운드리가 팹리스의 요청을 최대한 반영하고 팹리스의 피해를 최소화하는 것이 맞지만 그건 FM이고 현실은 다르다. 매우 현실적으로 보아도 TSMC가 경쟁 시장에 놓여 있다하더라도 시제품을 생산해 볼 건덕지가 없는 파운드리 업체 특성상 팹리스에게 약간의 피해를 돌려서라도 공정을 테스트하려고 들었을 것이다.[15] TSMC의 주된 수익은 구세대 공정, 즉 안정화된 공정에서 나온다. 따라서 힘들여서 공정 미세화를 서두를 필요가 없으며, 이는 모바일 시장에 뛰어들어서 공정 미세화가 제품의 품질과 직결되어 있는 삼성전자와는 정반대의 상황.[16] 크기가 작은 모바일 제품군에 비해 CPU나 그래픽 카드는 크기가 큰 빅칩이다.[17] 라인 증설이 말이 증설이지, 그렇게 쉬운 일이 아니다. 막대한 자금이 들어가는 것은 물론이고, 일반적인 기계의 생산 라인과들 달리 설계한 대로 뚝딱 만들어지는 것도 아니고 지금과 같은 45nm 이하의 초정밀 공정에서는 반도체 공학뿐만 아니라 양자 역학, 열역학 등과 같은 기초 과학에 속하는 기술까지 총 동원해야 한다.[18] 불과 몇년 전엔 이게 맞았다.[19] 물론 최근에는 모바일 AP 시장이 확대되면서 모바일 AP 중에서도 시스템반도체에 육박하는 사이즈를 가진 빅칩이 튀어나오기도 한다.[20] 물론 이전에도 남는 팹으로 엔비디아의 엔트리급~로우엔드급 (GK108 / GM206) GPU를 생산한 전력은 있다. 본격적인 생산협력에 대한 양해각서도 체결되었기에 다음 세대부터는 메인스트림급에서도 삼성 생산 엔비디아 GPU를 볼 수 있을지도 모른다.[21] 웨이퍼를 빛에 반응하는 PR로 덮은 뒤 빛을 조사하고 반응한 부분 혹은 반응하지 않은 부분만 씻겨내어 회로를 새기는 것을 말한다.[22]자외선으로 미세 패턴을 형성하는 장비이다. 파장이 짧을수록 선폭이 좁아진다. DVD에서 블루레이로 이행되는 과정과 비슷하다.[23] 전량 ASML 생산.[24] 규모는 웨이퍼 18만장으로 예측이 되고 있다.[25] 855/865를 놓친 것은 치명적이지만 주문한 웨이퍼 수로만 따지면 7LPP, 8LPP 공정에서 나오는 스냅드래곤 6/700 라인업이 압도적으로 많다. 당장 이번해에 765/765G 탑재 스마트폰이 얼마나 많은지 보면...[26] 삼성 측에서의 언플도 많지만 TSMC측에서의 언플도 디지타임즈를 통하여 대대적으로 이뤄지고 있다. 디지타임즈는 퀄컴 765의 수주를 맡은 삼성 7nm 공정의 수율이 나쁘다고 언플을 한 전력이 있다.[27] 2019년 6월 그래픽 카드 칩셋 제조사 NVIDIA삼성전자를 통해 차세대 GPU를 생산할 것이라는 소식이 있었으나, 2020 CES를 앞둔 젠슨 황의 인터뷰에서 차세대 GPU인 암페어 물량은 기본적으로 TSMC에서 생산하고, 수요가 증가할 경우에만 부분적으로 삼성이 수주할 것이라고 공개되었지만 이후 TSMC와의 협상 결렬, 단가 측면에서의 삼성팹이 메리트가 있다고 판단하여 삼성 팹에서의 생산이 결정되었다.[28] 파운드리 생산자가 생산에서 수반되는 손실 비용의 상당부분을 부담하고 초도 공정을 테스트하는 과정[29] 다만, 2020년 9월 뉴스에 따르면 미디어텍 수주 역시 불발이라고 한다.[30] 백엔드, SSMC까지 포함하면 14개[31] 이게 어느 정도로 대단한 거냐면, 파운드리 업계 4위인 UMC의 공장중 제일 크고 300mm웨이퍼를 사용하는 Fab 12A가 한달에 55,000개 정도밖에 소화를 못 하고 두 번째로 큰 Fab 12i는 45,000장밖에 소화를 못 한다. 심지어 Fab 12i는 55nm급이고[32] 10nm급도 작업 중이라고[33] 그래프의 축척이 달라서 그렇지 실제로 2배 격차가 맞다.[34] 실질적인 공정미세화가 이뤄진 것은 아니지만 FinFET 적용으로 인한 성능, 전력 개선때문에 16nm라는 명칭이 붙은 것으로 보인다. 인텔이 이걸 근거로 자사의 14nm가 진짜 14nm라고 주장하면서 그 14nm를 7년째 우려먹을 예정이다.[35] 당연히 이쪽이 훨씬 더 미세하다.[36] (삼성 = 1.05 × 1.33 × 1.5) vs (TSMC = 1.00 × 1.8 × 1.15)[37] 7nm 세대에서 공정 기술력, 초도 양산 시기, CAPA 및 고객사 유치와 공정 외적인 패키징 기술 같은 면에서 모두 우위를 점하는데 성공하였다.[38] 국내 언론에선 어째서인지 10만장이라고 되어 있다. 'tens of thousands of wafers' 는 '수만장의 웨이퍼'로 해석하는 것이 맞음. 링크된 기사에 따르면 10만장은 과대 해석이지만 1만장의 수배 수준인 것은 맞음.[39] 참고로 회사 규모는 2017년 매출 328억 달러, 영업이익 130억 달러, 자산 670억 달러 (자본 522억 달러), 시가총액 1800억 달러, 종업원 4만 7천 명 정도 된다.[40] 하지만 구글이나 버크셔 해서웨이 같은 특수한 경우를 제외하면 일반적으로 우선주는 시가총액 산정에서 배제하는 관례에 따라 TSMC의 시가총액이 더 높다고 보는 분석도 있다. #